经过生产验证的,复杂的半导体IP核

半导体电路设计IP及配套交付件


T2M MIPI MIPI CSI-2 Rx v1.1控制器IP

MIPI CSI-2 Rx v1.1控制器IP

概述和功能介绍

MIPI相机串行接口2(CSI-2)是相机和主机处理器基带应用引擎之间的一个接口。这个接口由MIPI联盟定义,它在符合MIPI规范的产品中定义了一系列的模块。这个MIPI CSI-2接收器在移动和高速串行应用中作为控制器,用于接收摄像机视频,并通过MIPI线路从/向MIPI CSI-2发射器传输摄像机命令。通过将摄像机数据编码,再进行传输,增加了传输的可靠性。MIPI CSI-2完整的解决方案包括MIPI CSI-2发射器、MIPI CSI-2接收器和MIPI DPHY。MIPI CSI2接收器用于移动和高速串行应用,相机可以接收从MIPI CSI2发射器通过MIPI线路发送给它的视频数据,对数据进行解码并用于后续处理。MIPI CSI2接收器遵守MIPI CSI2规范 MIPI-CSI-2-Rx-v1.1-Controller-sioicon-proven-ip-core-provider-in-taiwan 

功能描述
  • 符合MIPI CSI-2规范v1.1标准

  • 符合MIPI DPHY V1.1规范

  • 车道配置:CSI2_ RX:可编程的1、2或4数据通道配置。

  • 在连续和非连续的时钟模式下操作。

  • 支持的YUV数据类型: YUV420_8bit、YUV420_10bit、YUV422_8Bit、YUV422_10bit、YUV420_8Bit_CSPS、YUV420_10bit_CSPS、遗留的YUV420_8bit、

  • 支持的RGB数据类型:RGB888、RGB565、RGB666、RGB555和RGB444。

  • 支持的RAW数据类型: RAW6、RAW7、RAW8、RAW10、RAW12和RAW14。

  • 支持用户定义的数据类型。

  • 像素接口: 64位像素数据对齐

  • 数据速率: CSI-2 DPHY:每车道高达1.5 Gbps

  • 通过通用并行接口进行可选择的寄存器配置

交付件

  • 可配置的RTL代码

  • 基于HDL的测试台和行为模型

  • 测试用例

  • 协议检查器、总线监视器和性能监视器

  • 可配置的合成壳

  • 设计指南

  • 验证指南

  • 合成指南